Exportar este item: EndNote BibTex

Use este identificador para citar ou linkar para este item: https://tede2.pucrs.br/tede2/handle/tede/8096
Registro completo de metadados
Campo DCValorIdioma
dc.creatorMartins, André Luís Del Mestre-
dc.creator.Latteshttp://lattes.cnpq.br/8570090119222585por
dc.contributor.advisor1Moraes, Fernando Gehm-
dc.contributor.advisor1Latteshttp://lattes.cnpq.br/2509301929350826por
dc.date.accessioned2018-06-04T11:37:12Z-
dc.date.issued2018-03-19-
dc.identifier.urihttp://tede2.pucrs.br/tede2/handle/tede/8096-
dc.description.resumoMany-core systems integrate several cores in a single die to provide high-performance computing in multiple market segments. The newest technology nodes introduce restricted power caps so that results in the utilization-wall (also known as dark silicon), i.e., the on-chip power dissipation prevents the use of all resources at full performance simultaneously. The workload of many-core systems includes real-time (RT) applications, which bring the application throughput as another constraint to meet. Also, dynamic workloads generate valleys and peaks of resources utilization over the time. This scenario, complex high-performance systems subject to power and performance constraints, creates the need for multi-objective resource management (RM) able to dynamically adapt the system goals while respecting the constraints. Concerning RT applications, related works apply a design-time analysis of the expected workload to ensure throughput constraints. To cover this limitation, design-time decisions, this Thesis proposes a hierarchical Runtime Energy Management (REM) for RT applications as the first work to link the execution of RT applications and RM under a power cap without design-time analysis of the application set. REM employs different mapping and DVFS (Dynamic Voltage Frequency Scaling) heuristics for RT and non-RT tasks to save energy. Besides not considering RT applications, related works do not consider the workload variation and propose single-objective RMs. To tackle this second limitation, single-objective RMs, this Thesis presents a hierarchical adaptive multi-objective resource management (MORM) for many-core systems under a power cap. MORM addresses dynamic workloads with peaks and valleys of resources utilization. MORM can dynamically shift the goals to prioritize energy or performance according to the workload behavior. Both RMs (REM and MORM), are multi-objective approaches. This Thesis employs the Observe-Decide-Act (ODA) paradigm as the design methodology to implement REM and MORM. The Observing consists on characterizing the cores and on integrating hardware monitors to provide accurate and fast power-related information for an efficient RM. The Actuation configures the system actuators at runtime to enable the RMs to follow the multi-objective decisions. The Decision corresponds to REM and MORM, which share the Observing and Actuation infrastructure. REM and MORM stand out from related works regarding scalability, comprehensiveness, and accurate power and energy estimation. Concerning REM, evaluations on many-core systems up to 144 cores show energy savings from 15% to 28% while keeping timing violations below 2.5%. Regarding MORM, results show it can drive applications to dynamically follow distinct objectives. Compared to a stateof- the-art RM targeting performance, MORM speeds up the workload valley by 11.56% and the workload peak by up to 49%.por
dc.description.abstractSistemas many-core integram múltiplos cores em um chip, fornecendo alto desempenho para vários segmentos de mercado. Novas tecnologias introduzem restrições de potência conhecidos como utilization-wall ou dark-silicon, onde a dissipação de potência no chip impede que todos os PEs sejam utilizados simultaneamente em máximo desempenho. A carga de trabalho (workload) em sistemas many-core inclui aplicações tempo real (RT), com restrições de vazão e temporização. Além disso, workloads típicos geram vales e picos de utilização de recursos ao longo do tempo. Este cenário, sistemas complexos de alto desempenho sujeitos a restrições de potência e utilização, exigem um gerenciamento de recursos (RM) multi-objetivos capaz de adaptar dinamicamente os objetivos do sistema, respeitando as restrições impostas. Os trabalhos relacionados que tratam aplicações RT aplicam uma análise em tempo de projeto com o workload esperado, para atender às restrições de vazão e temporização. Para abordar esta limitação do estado-da-arte, ecisões em tempo de projeto, esta Tese propõe um gerenciamento hierárquico de energia (REM), sendo o primeiro trabalho que considera a execução de aplicações RT e gerência de recursos sujeitos a restrições de potência, sem uma análise prévia do conjunto de aplicações. REM emprega diferentes heurísticas de mapeamento e de DVFS para reduzir o consumo de energia. Além de não incluir as aplicações RT, os trabalhos relacionados não consideram um workload dinâmico, propondo RMs com um único objetivo a otimizar. Para tratar esta segunda limitação do estado-da-arte, RMs com objetivo único a otimizar, esta Tese apresenta um gerenciamento de recursos multi-objetivos adaptativo e hierárquico (MORM) para sistemas many-core com restrições de potência, considerando workloads dinâmicos com picos e vales de utilização. MORM pode mudar dinamicamente os objetivos, priorizando energia ou desempenho, de acordo com o comportamento do workload. Ambos RMs (REM e MORM) são abordagens multi-objetivos. Esta Tese emprega o paradigma Observar-Decidir-Atuar (ODA) como método de projeto para implementar REM e MORM. A Observação consiste em caracterizar os cores e integrar monitores de hardware para fornecer informações precisas e rápidas relacionadas à energia. A Atuação configura os atuadores do sistema em tempo de execução para permitir que os RMs atendam às decisões multi-objetivos. A Decisão corresponde à implementação do REM e do MORM, os quais compartilham os métodos de Observação e Atuação. REM e MORM destacam-se dos trabalhos relacionados devido às suas características de escalabilidade, abrangência e estimativa de potência e energia precisas. As avaliações utilizando REM em manycores com até 144 cores reduzem o consumo de energia entre 15% e 28%, mantendo as violações de temporização abaixo de 2,5%. Resultados mostram que MORM pode atender dinamicamente a objetivos distintos. Comparado MORM com um RM estado-da-arte, MORM otimiza o desempenho em vales de workload em 11,56% e em picos workload em até 49%.por
dc.description.provenanceSubmitted by PPG Ciência da Computação ([email protected]) on 2018-05-22T12:22:46Z No. of bitstreams: 1 ANDRÉ_LUÍS_DEL_MESTRE_MARTINS_TES.pdf: 10284806 bytes, checksum: 089cdc5e5c91b6ab23816b94fdbe3d1d (MD5)eng
dc.description.provenanceApproved for entry into archive by Sheila Dias ([email protected]) on 2018-06-04T11:21:09Z (GMT) No. of bitstreams: 1 ANDRÉ_LUÍS_DEL_MESTRE_MARTINS_TES.pdf: 10284806 bytes, checksum: 089cdc5e5c91b6ab23816b94fdbe3d1d (MD5)eng
dc.description.provenanceMade available in DSpace on 2018-06-04T11:37:12Z (GMT). No. of bitstreams: 1 ANDRÉ_LUÍS_DEL_MESTRE_MARTINS_TES.pdf: 10284806 bytes, checksum: 089cdc5e5c91b6ab23816b94fdbe3d1d (MD5) Previous issue date: 2018-03-19eng
dc.formatapplication/pdf*
dc.thumbnail.urlhttp://tede2.pucrs.br:80/tede2/retrieve/172287/ANDR%c3%89_LU%c3%8dS_DEL_MESTRE_MARTINS_TES.pdf.jpg*
dc.languageengpor
dc.publisherPontifícia Universidade Católica do Rio Grande do Sulpor
dc.publisher.departmentEscola Politécnicapor
dc.publisher.countryBrasilpor
dc.publisher.initialsPUCRSpor
dc.publisher.programPrograma de Pós-Graduação em Ciência da Computaçãopor
dc.rightsAcesso Abertopor
dc.subjectMany-Coreeng
dc.subjectGerência de Recursospor
dc.subjectOtimização de Energiapor
dc.subjectDVFSpor
dc.subjectMulti-Objetivopor
dc.subjectResource Managementpor
dc.subjectEnergy Optimizationpor
dc.subjectDVFSeng
dc.subjectMulti-Objectivepor
dc.subject.cnpqCIENCIA DA COMPUTACAO::TEORIA DA COMPUTACAOpor
dc.titleMulti-objective resource management for many-core systemspor
dc.typeTesepor
dc.restricao.situacaoTrabalho não apresenta restrição para publicaçãopor
Aparece nas coleções:Programa de Pós-Graduação em Ciência da Computação

Arquivos associados a este item:
Arquivo Descrição TamanhoFormato 
ANDRÉ_LUÍS_DEL_MESTRE_MARTINS_TES.pdfANDRE_LUIS_DEL_MESTRE_MARTIN_TES10,04 MBAdobe PDFThumbnail

Baixar/Abrir Pré-Visualizar


Os itens no repositório estão protegidos por copyright, com todos os direitos reservados, salvo quando é indicado o contrário.